三牛注册-平台用户登录[首页]
三牛注册-平台用户登录[首页]
  • 网站注册
  • 网站登录
  • 网站招商
  • 资讯详情
    完整word版)路灯控制器的设计与制作-毕业设计
    作者:管理员 发布于:2022-10-22 11:54 文字:【 】【 】【

      内容摘要:本次主要介绍模拟电路与现场可编程逻辑器件FPGA组合完成路灯控制器设计与制作的

      思路和具体方法,还简要介绍路灯控制器当前的发展现状、功能及其应用场合,附带介绍路灯控制器 设计与制作的其它两种方案即模拟电路与数字电路组合、模拟电路与单片机组合。但本文侧重于介绍 各单元电路的设计和各程序模块的编写以及设计中所使用到的特殊器件的介绍和使用方法。其中程序 编辑编译和波形仿真是借助Quartus Ⅱ软件完成,单元电路仿线仿真软件完成,本次设 计采用模拟电路与现场可编程逻辑器件FPGA组合具有简化整体设计,提高整体电路的稳定性和可靠 性,易实现设计要求等优点,缺点是购买开发板的成本较高。

      伴随着科学技术的发展,人类社会的进步。越来越多的电子产品不断涌现,而且电子 产品的体积越来越小。并且功能大,效率高,能耗低。我们设计的产品充分体现了这个特 点。设计中我们运用了专业知识,内置 AD 转化,并且能够输出 PWM 信号,使外部电路 简单;运用 DS1302 时钟芯片保证了时间的实时显示,还运用了 NE555 构成的多谐振荡 器与红外发射二极管外设电路;显示电路我们采用 1602 液晶显示屏,是我的设计更加人 性化。并且在我们的设计制作中充分考虑了环保的问题,我们运用的辅助器件就是剩下的 废料。

      1.1 路灯控制器简介 随着社会的发展,城市人口的不断增加,城市建设规模的扩大化。为完善城市的基础

      设施建设和谐、安全的城市人居环境、美化城市,路灯控制器的设计要求不断提高。现在 市场上生产路灯控制器的生产厂家众多,控制器功能齐全,智能化程度比较高,路灯控制 器的类型也层也不穷。例如,路灯太阳能控制器、智能路灯节能控制柜、路灯节电控制柜、 路灯节能电器等一系列的路灯控制器。路灯控制器集电磁技术、智能化控制技术、数据控 制技术于一体,在可控和平缓的方式下智能调节,路灯控制器实现公共照明系统的工作电 流与亮度需求的理想结合,达到节电和优化供电目的,路灯控制器节能率可高达 20%-40%, 对用电系统的保护作用可使其寿命延长 3-4 倍。路灯控制器主要采用优质、高性能元器件,

      且极少运用活动的元器件,保证了极高的产品工作安全性,因而确保为用户单位提供更安 全、可靠和更优性能的产品服务。路灯控制器现有两种类型,室内型:安装在室内照明控 制柜下端;户外型:可按照用户要求进行安装,放置在不锈钢的机柜里。其中光控型路灯 控制器广泛应用于城市建设,光控型路灯控制器都开启和关闭都是通过采集自然光强弱的 变化转化成电压电流的变化控制路灯的亮灭,具有自动控制的功能,能最大效率的节约电 能而且在恰当时候开启,给行人提供方便。

      现代路灯控制器具有的特点:采用先进的微处理芯片,高可靠性、误差小、低成 本、稳定性强,具有断电数据保存,时钟不间断工作,无需更换电 池,维持时钟运行十年以上;采用数码管准确显示路灯一次连续开启的时间和路灯总 共的开启次数;抗干扰能力强,能抵御从电网直接输入幅值达 250 伏的干扰脉冲;大 功率继电器输出,可接 220 伏或 380 伏接触器,控制稳定,使用寿命长,体积小, 安装简单。

      路灯控制器广泛应用于市政道路、高速公路、桥梁、隧道、园林、码头、观光景 灯、体育广场、游乐场所、广告灯箱等公共照明环境;路灯控制器适用的灯具类型: 高压钠灯、低压钠灯、金属卤化物灯、高 荧光灯等所有气体放电式照明灯具。

      1.3 选题依据 路灯控制器的设计与制作从理论意义来说可以将本人所学的知识系统的联系起来形成

      一条主线,选择此题目可以复习模拟电子技术、数字电路技术、EDA 技术、单片机技术、 可编程逻辑器件等相关知识的作用;本次设计我采用模拟电路和软件编程相结合的方法完 成本次设计的任务和要求,我们生活当中的消费类电子产品现在很多都是半智能或全智能 化,而且实现电子产品智能化很多都是在程序为主要实现方法,例如,采用汇编程序,C 程序,VHDL 语言等编写程序,可以在很大程度上节约成本,提高产品的智能化程度。设 计要求采集自然光照控制路灯的开启和停止,这不但能实现自动化控制而且还能合理利用 电能,实现资源的优化配制,且对我本人而言设计难易程度适中。

      从实际来说,路灯控制器在我们的生活中应用十分广泛,尤其在街道两旁,当我们在 夜晚狂街时路灯发挥着具大的作用,为行人提供方便,同时也使城市的夜景别具特色,远 望去到处是灯火辉煌,在现代生活中随着人民生活水平的提高,路灯控制器的设计要求和 技术含量也不断提高,所以选择控制器的设计与制作可以培养本人的设计思维,调动学习

      积极性,实践过程中可以起到练习故障查找、处里能力,练习焊接技术。 1.4 设计目标和内容 利用模拟电路和现场可编程门阵列(FPGA)设计制作路灯控制器,并使用 Quartus Ⅱ

      开发平台对时、分、秒、分频、计数、动态扫描显示各模块进行编程和仿真验证,路灯控 制器的设计与制作分两个部分:采光控制部分和计数(包括路灯当前一次的连续开启时间 和路灯的开启次数的计数)显示输出部分。然后在将两部分整合在一起就完成路灯控制器 的设计,通过采光、光电转换控制部分输入控制信号,计数显示输出部分就能正确显示当 前的控制状态和输出状态。

      模拟电路部分包括直流稳压电源、光电转换、小信号放大、三极管开关电路,直流稳 压电源又包括降压、整流、滤波、稳压四部分,即可得到直流稳定 12V 电压;数字电路主 要有秒肪冲、计数、译码七段数码管显示。利用模拟电路提供 12V 直流稳定电压,完成采 光和小信号放大并产生控制信号控制继电器的吸合,三极管的开关产生脉冲信号和使能信 号;数字电路负责显示路灯当前一次的连续开启时间和统计路灯的开启次数。

      模拟电路部分用到的元件及作用:三极管 9013 用于小信号放大和开关,光敏电阻完 成光电轮换,普通碳膜电阻根据三极管需要提供适当的偏置电压和隔离、保护作用,继电 器在开关三极管的控制下用于接通和断开路灯回路。数字部分用到的元件:555 定时器, 74LS160 计数器、74LS48 译码器、七段显示数码管,其中 555 定时器产生秒脉冲,用于 驱动 74LS161 计数,74LS48 负责译码将 74LS161 计数的 4 位二进数译码成 7 位二进制 以驱动七段数码管正常工作。

      模拟电路与数字电路组合总体看来具有设计思路清晰、价格成本低、稳定性高、易现 实,但电路结构相对复杂,需要购买许多电子元件和集成块,,整体电路调试困难,设计 框图如图 2.1-1 所示:

      2.2 方案二:模拟电路与可编程逻辑器件(FPGA)组合 方案二中模拟电路部分和方案一完全相同,在此不在赘述,重点介绍 FPGA 器件的设

      计部分,目前 FPGA 主要有 ALTERA 公司的 ACEX、MAX 系列、XILINX 的 Virtex_4 系列 等,其中 ALTERA 公司的 ACEX 系列 FPGA 在教学中用得较多;FPGA 采用了逻辑单元阵 列 LCA 内部包括可配置逻辑模块 CLB、输出输入模块 IOB 和内部连线三个部分。FPGA 的 编程无须专用的 FPGA 编程器,只须用通用的 EPROM、PROM 编程器即可。这样,同一 片 FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA 的使用非常灵活,FPGA 芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。

      在本次设计中 FPGA 采用的是 ALTERA 公司 MAX II 系列 EPM240T100C5N 开发板, 开发板内部是人为将实际需要的电路功能用 VHDL 语言语法描述出来,然后能过下载线将 程序下载到芯片内部,程序的主要任务是负责完成路灯当前一次的连续开启时间的记录和 统计路灯的开启次数,完成这一功能将是由不同功能的程序模块组合在一起。在满足芯片 正常工作的外部件后,当控制端的使能信号来时芯片执行内部程序并将执行程序的结果从 芯片的输出端口输出,驱动后序电路工作。

      方案二除模拟电路和方案一相同外还具有自身的特点:此方案采用 EPM240T100C5N 开发板代替方案一中的数电路部分使整体设计简单化,同时要求设计人员要有一定的实际

      功能分析,将功能程序化和 VHDL 程序编写能力,对设计人员的技术要求比方案一的高, 使电路具有比较好的稳定性和灵敏度,缺点是购 EPM240T100C5N 开发板成本较高,这

      样一来就使得整个设计的成本增加。方案二的设计框图如图 2.2-1 所示:

      方案三中模拟电路部分主要负责提供直流稳压电源,在此不在赘述。单片机是指集成 在一个芯片上集成了一台微行计算机所需的 CPU、存储器、输入输出端口和时钟电路等, 也被称为微控制器(Microcontroler),具有体积小、价格便宜、性能稳定、通用性好、 易生产、抗干扰能力强,使用灵活等特点。

      单片机集成芯片常用的有 AT89 系列、MCS-51 系列等,其正常工作条件必须满 足 5V 供电、时钟电路、复信电路正常,单片机主要应用于智能仪表、工业实时控制、 机电一体化、通信接口、家用电器等,单片机程序的编写采用 C 语言或汇编语言编写, 在外围电路接上所需的外部元件和设备在触发信号到来时开始工作。

      方案三的设计原理:电源电路、时钟电路、复位电路分别给单片机提供 5V 电源、 工作时钟脉冲、复位信号以满足单片机的正常工作条件,自然光的强弱经光敏电阻转 换成电信号的大小,在经过 A/D 变换将模拟的电信号变为数字信号通过引脚加到单片 机内部,单片机动作后输出电路包括路灯一次开启的时间,路灯总共开启的次数和路 灯的通断,方案三总体来说具有整体电路简单、节约成本、稳定性高、对触发条件感 应灵敏、所需要的外部元件少,但程序编写过程复杂难懂,方案三的设计框图如图 2.3-1 所示:

      2.4 VHDL 语言及 Quartus Ⅱ软件简介 2.4.1 VHDL 语言简介 VHDL 语言是一种用于电路设计的高级语言,出现在 80 年代的后期,最初是由美国国

      防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语 言。VHDL 的英文全写是:VHSIC(Very High Speed Integrated Circuit Hardware Description Language)翻译成中文就是超高速集成电路硬件描述语言,因此它的应用主 要是应用在数字电路的设计中。目前,它的应用多数是用在 FPGA/CPLD/EPLD 的设计中, VHDL 主要用于描述数字系统的结构、行为、功能和接口。除了含有许多具有硬件特征的 语句外,VHDL 的语言形式和描述风格语句语法是十分类似于一般的计算机高级语言。 VHDL 的程序结构特点是将一项工程设计称设计实体(可以是一个元件,一个电路模块或 一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分),既涉及实体的内 部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后, 其他的设计就可以直接调用这个实体。

      VHDL 语言具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描 述,从而大大简化了硬件设计任务,提高了设计效率和可靠性。同时还具有与具体硬件电 路无关和与设计平台无关的特性,并且具有良好的电路行为描述为系统描述能力,并在语 言易读性和层次化结构化设计方面,表现了强大的生命力和应用潜力。

      VHDL 设计流程如下: ★ 创建工程,使用 New Project Wizard 工具选项创建设计工程。 ★ 程序编辑编译,利用 Quartus Ⅱ工具的文本或图形编辑器将设计者的设计意

      图用文本或图形方式表达出来,完成设计描述后即可通过编译器进行排错编译,变成特定 的文本格式。

      ★ 仿真,利用产生的网表文件进行功能仿真,以便了解设计描述与设计意图的一 致性。

      ★ 调试,在仿真过程中若程序出现语法上的错误,程序将不会通过仿真同时会有 相就的错误提示,此时就需要人为的根据错误提示修改程序,使程序正确并通过仿真。

      ★ 引脚邦定,引脚邦定是为了将程序下载到硬件电路并使电路正常工作得到我们 希望的结果,此过程要依据集成芯片的内总引脚与外部电路实现配对。

      ★ 下载,如果以上的所有过程都没有发现问题,就可以通过 FPGA/CPLD 下载电 缆载入目标芯片中将程序下载到实际电路中。

      除了可以使用 Tcl 脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速 度快,界面统一,功能集中,易学易用等特点。

      此外,Quartus II 通过和 DSP Builder 工具与 Matlab/Simulink 相结合,可以方便地 实现各种 DSP 应用系统;支持 Altera 的片上可编程系统(SOPC)开发,集系统级设计、 嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台,使用 Quartus Ⅱ软 件完成 VHDL 程序设计的一般步骤:

      管;数码管由 8 个发光二极管构成,可以用来显示数字、字符等。它在家电及工业控制中 有着广泛的应用,例如用来显示温度、数量、重量、日期、时间等,具有显示醒目、直观 的、成本低等优点,数码管实符号和引脚。

      其中 7 个发光二极管组成“8 字形状,加上小数点总共 8 个二极管,这些段分别用 a、 b、c、d、e、f、g、dp 来表示,COM 引脚为公共端,用来控制数码管显示的打开或关闭, 即起到使能作用,数码管内部结构。

      按发光二极管单元连接方式分为共阳极数码管和共阴极数码管。共阳数码管是指将所有发光二极 管的阳极接到一起形成公共阳极(COM)的数码管。共阳数码管在应用时应将公共极 COM 接到高电平, 数字电路中所谓的高电平是指该点对地的电压高于 4.5V 而小于或等于 5V,低电平则为 0V 到 2.5V 之 间。当某一字段发光二极管的阴极为低电平时,相应字段就点亮。当某一字段的阴极为高电平时,相 应字段就不亮。。共阴数码管是指将所有发光二极管的阴极接到一起形成公共阴极(COM)的数码管。共 阴数码管在应用时应将公共极 COM 接到地线 GND 上,当某一字段发光二极管的阳极为高电平时,相 应字段就点亮。当某一字段的阳极为低电平时,相应字段就不亮。

      2.5.2 EDA 实验箱简介 ACEX1K30TC144-3 实验箱采用贴片元件、插接、集成芯片等元件组成,接口丰富, 功能强大,不但具备一般开发板的普通接口如串口、按键、指示灯等,还设计了高级接口 如 PS/2、VGA 接口等,并且专门设计了实验接口区,使用者可根据自己的需要向本系统 添加电路,方便了用户的实验。最为重要的是,此实验箱考虑到初学者的经济承受力,采 取了巧妙设计,为用户提供了实惠的价格完成了一些高级功能,是市面上性价比较高的产 品。ACEX1K30TC144-3 FPGA 实验箱结构

      根据本人对所学知识的理解、掌握、应用程度和本人现能提供的电子元件或设计中需 要用到的器材,此次毕业设计我选择方案二完成此次设计的任务和要求,总体系统结构框

      3.2 单元模块设计 3.2.1 直流稳压电源模块 直流稳压电源是一种将 220V 交流电压变成所需要的、稳定的直流电压输出的电路。

      直流稳压电源由降压电路、整流电路、滤波电路、稳压电路四部分构成,电路组成框图如 图 3.2-1 所示:

      降压电路的作用是将 220V 的交流电压变成需要大小的交流电压,整流电路的用用是

      将低压的交流电压经整流变成脉动的直流电压;滤波电路的作用是将脉动的直流电压滤成 平滑直流;稳压电路则完成输出平滑稳定的直流电压供给负载电路。

      在此次设计中降压采用变压器进行降压,整流电路的原理是利用二极管的单向导电特 性将大小和方向随时间变化的低压交流电变成只有大小变化而无方向变化的脉动直流,实 际运用中选取四支二极管组成桥式整流器或集成的桥堆进行整流,具有利用率高、纹波小 等特点。滤波电路常用的有电容滤波、电感滤波和复合滤波电路,电容滤波是利用电容器 两端的电压不能突变和存储电能的作用,电感滤波是利用电感中电流不能突变的原理,而 复合滤波电路则是将两者都包括进来,具有还好的滤波效果。稳压电路是不论电网电压或 负载发生变化时能基本保持输出电压稳定不变。

      二极管的平均电流为流过负载的总电流,因负载的阻值 不确定,所以 暂定。综上所 推理直流稳压电路中各元件的取如下:T1 用输出电流较大的 E 型电源变压器如 DB—20— 2,整流二极管选用 IN4001~IN4007 均可,滤波电容 C2 选用耐压值 25~50V,容量为 1000uF 或 2200uF,C5 选用耐压值 25~50V,容量为 220 uF 即可,C6、C7 为滤除电流 中高频成分选用瓷片电容或,独石电容,云母电容等均可。

      3.2.2 三端稳压器介绍 三端稳压器,主要有两种,一种输出电压是固定的,称为固定输出三端稳压器,另一 种输出电压是可调的,称为可调输出三端稳压器,在线性集成稳压器中,由于三端稳压器 只有三个引出端子,具有外接元件少,使用方便,性能稳定,价格低廉等优点,因而得到 广泛应用,固定三端稳压器的图形如右图所示。 固定三端稳压器的通用产品有 78 系列(正电源)和 79 系列(负电源),输出电压由 具体型号中的后面两个数字代表,有 5V,6V,8V,9V,12V,15V,18V,24V 等档次。 输出电流以 78(或 79)后面加字母来区分 L 表示 0.1;AM 表示 0.5A,无字母表示 1.5A,

      如 78L05 表求 5V 0.1A,另外一般在三端稳压器的输入输出端接一个二极管, 用来防止 输入端短路时,输出端存储的电荷通过稳压器,而损坏器件。

      可调三端稳压器也分为输出正电压(CW317)和输出负电压(CW337)两个系列, 它的三个端子分别为输入端、输出端、调整端。应用特点是在调整端外接一个固定电阻和 一个电位器,调节电位器就可实现输出电压调节,得到所需要的输出直流电压,一般输出 电流不小于 5mA,输入电压范围在 3~40V 之间,输出电压可调范围为 1.25~37V.

      3.2.3 三极管小信号放大电路模块 光电转换选用光敏电阻为核心元件,其特点是光照增强时,电阻减小,光照减弱时, 电阻增大,从而改变加在光敏电阻上的电压,完成光电转换过程。三极管小信号放大电由 三极管和电阻构成,采用分压稳定偏置电路. 为使电路处于放大状态必须满足: 必须使放大器件处于合适的直流工作状态,即就保证三极管的发射结正向偏置,集电 极反向偏置。

      ★ 必须保证放大电路有合适的交流工作条件,输入信号能够加到放大管的输入 端,放大电路的电压或电流能够尽可能的送至负载。

      ★ 必须合理选择放大电路元件的参数。 因电路要工作在放大状态,根据使电路处于放大状态的 3 个条件可知三极管的 UcUbUe,当光敏电阻自身的阻值为 14K 左右时电路处于放大状态,所以人为规定 R2=100K,则放大电路中各元件的值可由以下公式算出: 三极管处于放大时 Ube 0.7V,R=R5//R2=15K 可得 R1240K,实际应用中 R1=36K。 当 R1=36K 时:

      3.2.4 三极管开关电路及控制电路模块 从小信号放大电路输出的控制电压控制三极管 Q2 的工作状态,因要求 Q2 工作在开 关状态才能起到控制作用,所以要求小信号放大电路的输出电压、电流幅值较大,因为设 计中使用的小信号放大电路是典型的共集电极放大电路(又叫电压跟随器或射随器),从 IO1 输出的电压和电流经过 R4 电阻加到三极管 Q2 的基极从而起到控制 Q2 导通和截止的 作用。当 Q2 导通时继电器 K1、K2 的线圈同时上电,根据电磁感应原理将电压转化成磁 能吸合衔铁,继电器的常开触点吸合,常闭触点断开,使路灯供电电路形成回路,路灯发 光起到照明作用,同时 en 和 countclk 输出 5V 控制电压,反之路灯熄灭,en 和 countclk 输出 0V。二极管 D3 与 K2 线 线圈并联,因继电器中的线圈可看作是一 个电感线 突然断开时线圈中的电流不能突变而 K1、K2 线圈自身还会产生 一个很大的电动势会击穿 Q2 等元件,因此在并上 D3、D5 后 K1、K2 断电瞬间产生的感 应电动势经过 D3、D5 又重新加到 K1、K2 两端中和掉 K1、K2 自身产生的电动势,起到 保护电路的作用。 此模块中 12V 的直流电压先经过三端稳压器 U1 稳压后,再经过 C8、C10 滤波后得 到 5V 的直流电压,考滤到电容的储能的作用会增大设计结果的误差,所以将 5V 直流电源 放在控制回路之前。其中 en 作为统计路灯当前一次的连续开启时间的使能信号,countclk 作为统计路灯的开启次数的脉冲(countclk、en 可互换)。D4 起保护三端稳压器的作用, 以免电容充电电压过高形成灌电流损坏三端稳压器,三极管开关电路及控制电路如图 3.2.4-1 所示:

      3.2.5 电磁式继电器介绍 电磁式继电器一般由铁芯、线圈、衔铁、触点簧片等组成的。只要在线圈两端加上一 定的电压,线圈中就会流过一定的电流,从而产生电磁效应,衔铁就会在电磁力吸引的作 用下克服返回弹簧的拉力吸向铁芯,从而带动衔铁的动触点与静触(常闭触点)点(常开 触点)吸合。当线圈断电后,电磁的吸力也随之消失,衔铁就会在弹簧的反作用力返回原 来的位置,使动触点与原来的静触点吸合。这样吸合、释放,从而达到了在电路中的导通、 切断的目的。对于继电器的“常开、常闭”触点,可以这样来区分:继电器线圈未通电时 处于断开状态的静触点,称为“常开触点”;处于接通状态的静触点称为“常闭触点”。 电磁式继电器主要参数:

      ★ 额定工作电压:是指继电器正常工作时线圈所需要的电压,也就是控制电路的 控制电压。根据继电器的型号不同,可以是交流电压,也可以是直流电压。

      ★ 直流电阻:是指继电器中线圈的直流电阻,可以通过万能表测量。 ★ 吸合电流:是指继电器能够产生吸合动作的最小电流。在正常使用时,给定的 电流必须略大于吸合电流,这样继电器才能稳定地工作。而对于线圈所加的工作电压,一 般不要超过额定工作电压的 1.5 倍,否则会产生较大的电流而把线圈烧毁。 ★ 释放电流:是指继电器产生释放动作的最大电流。当继电器吸合状态的电流减 小到一定程度时,继电器就会恢复到未通电的释放状态。这时的电流远远小于吸合电流。 继电器测试: ★ 测触点电阻:用万能表的电阻档,测量常闭触点与动点电阻,其阻值应为 0, 而常开触点与动点的阻值就为无穷大。由此可以区别出那个是常闭触点,那个是常开触点。 ★ 测线圈电阻:可用万能表 R×10Ω档测量继电器线圈的阻值,从而判断该线圈 是否存在着开路现象。 ★ 测量吸合电压和吸合电流:找来可调稳压电源和电流表,给继电器输入一组电 压,且在供电回路中串入电流表进行监测。慢慢调高电源电压,听到继电器吸合声时,记 下该吸合电压和吸合电流。 ★ 测量释放电压和释放电流:当继电器发生吸合后,再逐渐降低供电电压,当听 到继电器再次发生释放声音时,记下此时的电压和电流即为释放电压和释放电流,继电器 的电路符号、实物及接法如图 3.2.5-1 所示:

      在本次课程设计中我的设计题目是路灯控制器的设计与制作,我选择方案二即模拟电 路与可编程逻辑器件组合完成本次设计的任务和要求,设计中也遇到不少的困难和疑惑, 特别是在电路仿真和程序仿真。在此本人举两例加以说明:第一,在分频程序波形仿真时 遇到很大困难,先首是在符合 VHDL 语言语法之下按照本人逻辑思维编写出程序并通过编 译,本以为就万事大吉了,可在波形仿真结束后却不见波形出来,经过反复检查程序语法, 改进设计思维,查找相关资料,修改 Quartus 软件参数等,历尽千幸万苦终于有了波形。 第二,在三极管小信号放大电路设计中各元器件参数的计算,先首用论理公试按照设计要 求计算出各元件的值,然后在 Multisim7 仿真软件中仿真,其仿真结果与理论计算值存在 很大差异,经过调整软件参数,寻问老师等,最终使得仿真结果与理论值近似,在解决困 难和疑惑中的艰辛难以用语言表达出来。

      通过此次设计,我深深地感触到基础知识的重要性,任何细节都离不开基础知识的指 导,设计中任何细节都不能忽略,它很可能就是导致你设计成败的关键。设计需要有相关 扎实的基础知识了,还要有一定的实际动手能力。此外,通过此次设计巩固和拓展我以前

      所学的知识,明白自己有许多方面的缺点和不足。这次设计给我最大的启示:态度决定高 度,细节决定成败

      日月如梭,转眼就要告别我的大学生涯,闭上眼,3 年的大学生活历历在目。3 年前刚 踏进校门的那一刻,我还是一个不知世故的懵懂少年,3 年的大学生活,让我收获知识, 收获成绩,更让我懂得了如何做人。

      感谢我的父母,在我的成长和求学过程中,父母不仅在经济上承受着巨大的负担,心 里更有思子之情的煎熬与望子成龙的期待,每次回到家时父母的欣喜之情和每次离家时父 母依依不舍的情形与嘱咐,皆使我刻苦铭心。我的父母,你们幸苦了。

      感谢我的指导老师 XX 老师,是你传授我知识,给我帮助和鼓励,教会我如何做人、 如何做事,谢谢你,你们幸苦了。

      感谢所有的同学,三年我们一起学习,平时各位同学的喜、怒、哀、乐都令我一生难 忘,现在回想起来,认识各们同学都是我一生的荣幸,在未来的工作和生活中,我期望我 们仍将一路同行。

      最后,就让我们把这充实而又充满意义的 3 年放进回忆。眼前,一条新的道路已在脚 下,抓住机会,放眼未来,让我们在社会的大浪中去磨练自己,实现自己的人生理想。

      [1] 刘慰平: 模拟电子技术基础,北京理工大学出版社,2008,P3-P7 [2] 向守兵、马康波: 实用电子技术教程.电子科技大学出版社,2007,P45-P50 [3] 华成英: 模拟电子技术基本教程[M],第一版,清华大学出版社,2006, P70-P79 [4] 张国华: 电子元器件使用,中国电力出版社,2009,P23-P26 [5] 潘松、黄继业,EDA 技术实用教程,第三版,北京,科学出版社,2006, P45-P50 [6] 史小波、程梦蟑、许会芳: 集成电路设计和 VHDL 教程,清华大学出版社,2005.6,

    相关推荐
  • 体脂秤哪个牌子好?体脂秤准确吗?2023全网最火测评附推荐清单【自用送礼必看】
  • 智能一体化体检机上禾多功能身高体重秤
  • 医用智能测量身高体重秤
  • 智能体检用身高体重秤 智能化体检身高秤
  • 12条措施 促进电子产品消费
  • 宽商科技推出全新数字商品交易平台引领电子卡券消费新风向
  • 消费电子市场需求由淡转旺 产业链上市公司积极排产备货
  • 关于印发内蒙古自治区工业和信息化厅等六部门推动能源电子产业发展实施意见的通知
  • 智能家居十大必备功能 乐享真正的智能生活 - 家居
  • 2022年智能照明控制系统十大品牌
  • 底部图
    底部图
    版权所有 Copyright(C)2009-2025 三牛注册-平台用户登录[首页] txt地图 HTML地图 xml地图
    友情链接: